An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications

Energy consumption is a key issue in portable biomedical devices that require uninterrupted biomedical data processing. As the battery life is critical for the user, these devices impose stringent energy constraints on SRAMs and other system on chip (SoC) components. Prior work shows that operating...

Full description

Bibliographic Details
Main Authors: Arijit Banerjee, Benton H. Calhoun
Format: Article
Language:English
Published: MDPI AG 2014-05-01
Series:Journal of Low Power Electronics and Applications
Subjects:
9T
Online Access:http://www.mdpi.com/2079-9268/4/2/119
id doaj-0f71d66f792246989ed6e34742db5832
record_format Article
spelling doaj-0f71d66f792246989ed6e34742db58322020-11-24T21:44:54ZengMDPI AGJournal of Low Power Electronics and Applications2079-92682014-05-014211913710.3390/jlpea4020119jlpea4020119An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical ApplicationsArijit Banerjee0Benton H. Calhoun1The Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22904, USAThe Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22904, USAEnergy consumption is a key issue in portable biomedical devices that require uninterrupted biomedical data processing. As the battery life is critical for the user, these devices impose stringent energy constraints on SRAMs and other system on chip (SoC) components. Prior work shows that operating CMOS circuits at subthreshold supply voltages minimizes energy per operation. However, at subthreshold voltages, SRAM bitcells are sensitive to device variations, and conventional 6T SRAM bitcell is highly vulnerable to readability related errors in subthreshold operation due to lower read static noise margin (RSNM) and half-select issue problems. There are many robust subthreshold bitcells proposed in the literature that have some improvements in RSNM, write static noise margin (WSNM), leakage current, dynamic energy, and other metrics. In this paper, we compare our proposed bitcell with the state of the art subthreshold bitcells across various SRAM design knobs and show their trade-offs in a column mux scenario from the energy and delay metrics and the energy per operation metric standpoint. Our 9T half-select-free subthreshold bitcell has 2.05× lower mean read energy, 1.12× lower mean write energy, and 1.28× lower mean leakage current than conventional 8T bitcells at the TT_0.4V_27C corner. Our bitcell also supports the bitline interleaving technique that can cope with soft errors.http://www.mdpi.com/2079-9268/4/2/119subthresholdSRAMhalf-selecthalf-select-free9Tbitcellultra-low-energybiomedicalminimum energy pointenergy per operation
collection DOAJ
language English
format Article
sources DOAJ
author Arijit Banerjee
Benton H. Calhoun
spellingShingle Arijit Banerjee
Benton H. Calhoun
An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications
Journal of Low Power Electronics and Applications
subthreshold
SRAM
half-select
half-select-free
9T
bitcell
ultra-low-energy
biomedical
minimum energy point
energy per operation
author_facet Arijit Banerjee
Benton H. Calhoun
author_sort Arijit Banerjee
title An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications
title_short An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications
title_full An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications
title_fullStr An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications
title_full_unstemmed An Ultra-Low Energy Subthreshold SRAM Bitcell for Energy Constrained Biomedical Applications
title_sort ultra-low energy subthreshold sram bitcell for energy constrained biomedical applications
publisher MDPI AG
series Journal of Low Power Electronics and Applications
issn 2079-9268
publishDate 2014-05-01
description Energy consumption is a key issue in portable biomedical devices that require uninterrupted biomedical data processing. As the battery life is critical for the user, these devices impose stringent energy constraints on SRAMs and other system on chip (SoC) components. Prior work shows that operating CMOS circuits at subthreshold supply voltages minimizes energy per operation. However, at subthreshold voltages, SRAM bitcells are sensitive to device variations, and conventional 6T SRAM bitcell is highly vulnerable to readability related errors in subthreshold operation due to lower read static noise margin (RSNM) and half-select issue problems. There are many robust subthreshold bitcells proposed in the literature that have some improvements in RSNM, write static noise margin (WSNM), leakage current, dynamic energy, and other metrics. In this paper, we compare our proposed bitcell with the state of the art subthreshold bitcells across various SRAM design knobs and show their trade-offs in a column mux scenario from the energy and delay metrics and the energy per operation metric standpoint. Our 9T half-select-free subthreshold bitcell has 2.05× lower mean read energy, 1.12× lower mean write energy, and 1.28× lower mean leakage current than conventional 8T bitcells at the TT_0.4V_27C corner. Our bitcell also supports the bitline interleaving technique that can cope with soft errors.
topic subthreshold
SRAM
half-select
half-select-free
9T
bitcell
ultra-low-energy
biomedical
minimum energy point
energy per operation
url http://www.mdpi.com/2079-9268/4/2/119
work_keys_str_mv AT arijitbanerjee anultralowenergysubthresholdsrambitcellforenergyconstrainedbiomedicalapplications
AT bentonhcalhoun anultralowenergysubthresholdsrambitcellforenergyconstrainedbiomedicalapplications
AT arijitbanerjee ultralowenergysubthresholdsrambitcellforenergyconstrainedbiomedicalapplications
AT bentonhcalhoun ultralowenergysubthresholdsrambitcellforenergyconstrainedbiomedicalapplications
_version_ 1725908119845863424