Open SystemC Simulator with Support for Power Gating Design

Power gating is one of the most efficient power consumption reduction techniques. However, when applied in several different parts of a complex design, functional verification becomes a challenge. Lately, the verification process of this technique has been executed in a Register-Transfer Level (RTL)...

Full description

Bibliographic Details
Main Authors: George Sobral Silveira, Alisson V. Brito, Helder F. de A. Oliveira, Elmar U. K. Melcher
Format: Article
Language:English
Published: Hindawi Limited 2012-01-01
Series:International Journal of Reconfigurable Computing
Online Access:http://dx.doi.org/10.1155/2012/793190
id doaj-33749b0a79d9469fbe6d7e62a39fe9d3
record_format Article
spelling doaj-33749b0a79d9469fbe6d7e62a39fe9d32020-11-25T01:09:40ZengHindawi LimitedInternational Journal of Reconfigurable Computing1687-71951687-72092012-01-01201210.1155/2012/793190793190Open SystemC Simulator with Support for Power Gating DesignGeorge Sobral Silveira0Alisson V. Brito1Helder F. de A. Oliveira2Elmar U. K. Melcher3Department of Electrical Engineering, Federal University of Campina Grande (UFCG), 58429-140 Campina Grande, PB, BrazilDepartment of Informatics, Federal University of Paraiba (UFPB), 58051-900 Joao Pessoa, PB, BrazilDepartment of System and Computer, Federal University of Campina Grande (UFCG), 58429-140 Campina Grande, PB, BrazilDepartment of System and Computer, Federal University of Campina Grande (UFCG), 58429-140 Campina Grande, PB, BrazilPower gating is one of the most efficient power consumption reduction techniques. However, when applied in several different parts of a complex design, functional verification becomes a challenge. Lately, the verification process of this technique has been executed in a Register-Transfer Level (RTL) abstraction, based on the Common Power Format (CPF) and the Unified Power Format (UPF). The purpose of this paper is to present an OSCI SystemC simulator with support to the power gating design. This simulator is an alternative to assist the functional verification accomplishment of systems modeled in RTL. The possibility of controlling the retention and isolation of power gated functional block (PGFB) is presented in this work, turning the simulations more stable and accurate. Two case studies are presented to demonstrate the new features of that simulator.http://dx.doi.org/10.1155/2012/793190
collection DOAJ
language English
format Article
sources DOAJ
author George Sobral Silveira
Alisson V. Brito
Helder F. de A. Oliveira
Elmar U. K. Melcher
spellingShingle George Sobral Silveira
Alisson V. Brito
Helder F. de A. Oliveira
Elmar U. K. Melcher
Open SystemC Simulator with Support for Power Gating Design
International Journal of Reconfigurable Computing
author_facet George Sobral Silveira
Alisson V. Brito
Helder F. de A. Oliveira
Elmar U. K. Melcher
author_sort George Sobral Silveira
title Open SystemC Simulator with Support for Power Gating Design
title_short Open SystemC Simulator with Support for Power Gating Design
title_full Open SystemC Simulator with Support for Power Gating Design
title_fullStr Open SystemC Simulator with Support for Power Gating Design
title_full_unstemmed Open SystemC Simulator with Support for Power Gating Design
title_sort open systemc simulator with support for power gating design
publisher Hindawi Limited
series International Journal of Reconfigurable Computing
issn 1687-7195
1687-7209
publishDate 2012-01-01
description Power gating is one of the most efficient power consumption reduction techniques. However, when applied in several different parts of a complex design, functional verification becomes a challenge. Lately, the verification process of this technique has been executed in a Register-Transfer Level (RTL) abstraction, based on the Common Power Format (CPF) and the Unified Power Format (UPF). The purpose of this paper is to present an OSCI SystemC simulator with support to the power gating design. This simulator is an alternative to assist the functional verification accomplishment of systems modeled in RTL. The possibility of controlling the retention and isolation of power gated functional block (PGFB) is presented in this work, turning the simulations more stable and accurate. Two case studies are presented to demonstrate the new features of that simulator.
url http://dx.doi.org/10.1155/2012/793190
work_keys_str_mv AT georgesobralsilveira opensystemcsimulatorwithsupportforpowergatingdesign
AT alissonvbrito opensystemcsimulatorwithsupportforpowergatingdesign
AT helderfdeaoliveira opensystemcsimulatorwithsupportforpowergatingdesign
AT elmarukmelcher opensystemcsimulatorwithsupportforpowergatingdesign
_version_ 1725177375038111744