A Fabrication Process for Emerging Nanoelectronic Devices Based on Oxide Tunnel Junctions

We present a versatile nanodamascene process for the realization of low-power nanoelectronic devices with different oxide junctions. With this process we have fabricated metal/insulator/metal junctions, metallic single electron transistors, silicon tunnel field effect transistors, and planar resisti...

Full description

Bibliographic Details
Main Authors: Dominique Drouin, Gabriel Droulers, Marina Labalette, Bruno Lee Sang, Patrick Harvey-Collard, Abdelkader Souifi, Simon Jeannot, Stephane Monfray, Michel Pioro-Ladriere, Serge Ecoffey
Format: Article
Language:English
Published: Hindawi Limited 2017-01-01
Series:Journal of Nanomaterials
Online Access:http://dx.doi.org/10.1155/2017/8613571
Description
Summary:We present a versatile nanodamascene process for the realization of low-power nanoelectronic devices with different oxide junctions. With this process we have fabricated metal/insulator/metal junctions, metallic single electron transistors, silicon tunnel field effect transistors, and planar resistive memories. These devices do exploit one or two nanometric-scale tunnel oxide junctions based on TiO2, SiO2, HfO2, Al2O3, or a combination of those. Because the nanodamascene technology involves processing temperatures lower than 300°C, this technology is fully compatible with CMOS back-end-of-line and is used for monolithic 3D integration.
ISSN:1687-4110
1687-4129