A Fabrication Process for Emerging Nanoelectronic Devices Based on Oxide Tunnel Junctions

We present a versatile nanodamascene process for the realization of low-power nanoelectronic devices with different oxide junctions. With this process we have fabricated metal/insulator/metal junctions, metallic single electron transistors, silicon tunnel field effect transistors, and planar resisti...

Full description

Bibliographic Details
Main Authors: Dominique Drouin, Gabriel Droulers, Marina Labalette, Bruno Lee Sang, Patrick Harvey-Collard, Abdelkader Souifi, Simon Jeannot, Stephane Monfray, Michel Pioro-Ladriere, Serge Ecoffey
Format: Article
Language:English
Published: Hindawi Limited 2017-01-01
Series:Journal of Nanomaterials
Online Access:http://dx.doi.org/10.1155/2017/8613571

Similar Items