Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes

Este artículo presenta el diseño de un algoritmo adaptativo, propuesto para aplicaciones en arreglos de antenas con pesos ajustables. Este algoritmo fue seleccionado por su simplicidad, eficiencia y desempeño, haciendo idónea su implementación sobre dispositivos de hardware reconfigurable. La metodo...

Full description

Bibliographic Details
Main Authors: Javier Alexander Castellanos Hernández, Cecilia Esperanza Sandoval Ruiz, Marco Aurelio Azpúrua Auyanet
Format: Article
Language:English
Published: Universidad del Zulia
Series:Revista Técnica de la Facultad de Ingeniería
Subjects:
Online Access:http://www.scielo.org.ve/scielo.php?script=sci_arttext&pid=S0254-07702014000300010&lng=en&tlng=en
Description
Summary:Este artículo presenta el diseño de un algoritmo adaptativo, propuesto para aplicaciones en arreglos de antenas con pesos ajustables. Este algoritmo fue seleccionado por su simplicidad, eficiencia y desempeño, haciendo idónea su implementación sobre dispositivos de hardware reconfigurable. La metodología desarrollada inicia con el diseño del caso de estudio y la el análisis del algoritmo LMS en el control adaptativo del patrón de radiación del arreglo de antenas de cuatro elementos, usando MATLAB™ v.7.6., seguido de la definición del modelo, los componentes, la descripción del comportamiento usando sintaxis VHDL para su diseño sobre un FPGA, usando la herramienta de Xilinx ISE 11.1. Finalmente, la validación del circuito diseñado se presentó a través de la simulación con ModelSim 5.7. Obteniendo como resultado los reportes de síntesis de recursos empleados en el diseño desarrollado.
ISSN:0254-0770