Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes

Este artículo presenta el diseño de un algoritmo adaptativo, propuesto para aplicaciones en arreglos de antenas con pesos ajustables. Este algoritmo fue seleccionado por su simplicidad, eficiencia y desempeño, haciendo idónea su implementación sobre dispositivos de hardware reconfigurable. La metodo...

Full description

Bibliographic Details
Main Authors: Javier Alexander Castellanos Hernández, Cecilia Esperanza Sandoval Ruiz, Marco Aurelio Azpúrua Auyanet
Format: Article
Language:English
Published: Universidad del Zulia
Series:Revista Técnica de la Facultad de Ingeniería
Subjects:
Online Access:http://www.scielo.org.ve/scielo.php?script=sci_arttext&pid=S0254-07702014000300010&lng=en&tlng=en
id doaj-7283e0881bba43e092e0f545aca45da2
record_format Article
spelling doaj-7283e0881bba43e092e0f545aca45da22020-12-02T17:30:55ZengUniversidad del ZuliaRevista Técnica de la Facultad de Ingeniería0254-0770373270278S0254-07702014000300010Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentesJavier Alexander Castellanos Hernández0Cecilia Esperanza Sandoval Ruiz1Marco Aurelio Azpúrua Auyanet2UNEFAUniversidad de CaraboboInstituto de Ingeniería para Investigación y Desarrollo TecnológicoEste artículo presenta el diseño de un algoritmo adaptativo, propuesto para aplicaciones en arreglos de antenas con pesos ajustables. Este algoritmo fue seleccionado por su simplicidad, eficiencia y desempeño, haciendo idónea su implementación sobre dispositivos de hardware reconfigurable. La metodología desarrollada inicia con el diseño del caso de estudio y la el análisis del algoritmo LMS en el control adaptativo del patrón de radiación del arreglo de antenas de cuatro elementos, usando MATLAB™ v.7.6., seguido de la definición del modelo, los componentes, la descripción del comportamiento usando sintaxis VHDL para su diseño sobre un FPGA, usando la herramienta de Xilinx ISE 11.1. Finalmente, la validación del circuito diseñado se presentó a través de la simulación con ModelSim 5.7. Obteniendo como resultado los reportes de síntesis de recursos empleados en el diseño desarrollado.http://www.scielo.org.ve/scielo.php?script=sci_arttext&pid=S0254-07702014000300010&lng=en&tlng=enalgoritmo adaptativo lmsuaje descriptor de hardware para dispositivos de muy alta escala de integración (vhdl)arreglos de compuertas programables por campo (fpga)
collection DOAJ
language English
format Article
sources DOAJ
author Javier Alexander Castellanos Hernández
Cecilia Esperanza Sandoval Ruiz
Marco Aurelio Azpúrua Auyanet
spellingShingle Javier Alexander Castellanos Hernández
Cecilia Esperanza Sandoval Ruiz
Marco Aurelio Azpúrua Auyanet
Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes
Revista Técnica de la Facultad de Ingeniería
algoritmo adaptativo lms
uaje descriptor de hardware para dispositivos de muy alta escala de integración (vhdl)
arreglos de compuertas programables por campo (fpga)
author_facet Javier Alexander Castellanos Hernández
Cecilia Esperanza Sandoval Ruiz
Marco Aurelio Azpúrua Auyanet
author_sort Javier Alexander Castellanos Hernández
title Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes
title_short Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes
title_full Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes
title_fullStr Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes
title_full_unstemmed Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes
title_sort implementación sobre fpga de un algoritmo lms para un arreglo de antenas inteligentes
publisher Universidad del Zulia
series Revista Técnica de la Facultad de Ingeniería
issn 0254-0770
description Este artículo presenta el diseño de un algoritmo adaptativo, propuesto para aplicaciones en arreglos de antenas con pesos ajustables. Este algoritmo fue seleccionado por su simplicidad, eficiencia y desempeño, haciendo idónea su implementación sobre dispositivos de hardware reconfigurable. La metodología desarrollada inicia con el diseño del caso de estudio y la el análisis del algoritmo LMS en el control adaptativo del patrón de radiación del arreglo de antenas de cuatro elementos, usando MATLAB™ v.7.6., seguido de la definición del modelo, los componentes, la descripción del comportamiento usando sintaxis VHDL para su diseño sobre un FPGA, usando la herramienta de Xilinx ISE 11.1. Finalmente, la validación del circuito diseñado se presentó a través de la simulación con ModelSim 5.7. Obteniendo como resultado los reportes de síntesis de recursos empleados en el diseño desarrollado.
topic algoritmo adaptativo lms
uaje descriptor de hardware para dispositivos de muy alta escala de integración (vhdl)
arreglos de compuertas programables por campo (fpga)
url http://www.scielo.org.ve/scielo.php?script=sci_arttext&pid=S0254-07702014000300010&lng=en&tlng=en
work_keys_str_mv AT javieralexandercastellanoshernandez implementacionsobrefpgadeunalgoritmolmsparaunarreglodeantenasinteligentes
AT ceciliaesperanzasandovalruiz implementacionsobrefpgadeunalgoritmolmsparaunarreglodeantenasinteligentes
AT marcoaurelioazpuruaauyanet implementacionsobrefpgadeunalgoritmolmsparaunarreglodeantenasinteligentes
_version_ 1724404743380402176