Surface Analysis of TMCTS-Based SiOC(H) Low-<i>k</i> Dielectrics in Post-Etch Strip of ACL Hardmask

The miniaturization of devices requires the introduction of a high aspect ratio through patterning in the Damascene copper interconnect process. The high aspect ratio etch process employs hardmasks, such as amorphous carbon, that can withstand high-powered plasma exposure. When an etch hardmask is r...

Full description

Bibliographic Details
Main Authors: Min Kyu Park, Wan Soo Song, Min Ho Kim, Sang Jeen Hong
Format: Article
Language:English
Published: MDPI AG 2021-02-01
Series:Materials
Subjects:
OES
Online Access:https://www.mdpi.com/1996-1944/14/5/1144
Description
Summary:The miniaturization of devices requires the introduction of a high aspect ratio through patterning in the Damascene copper interconnect process. The high aspect ratio etch process employs hardmasks, such as amorphous carbon, that can withstand high-powered plasma exposure. When an etch hardmask is removed after patterning, the properties of the underlying film can be altered by the effect of plasma exposure during the strip process. In this study, surface properties of SiOC(H) are investigated after an amorphous carbon strip with O<sub>2</sub>/Ar plasma. Since the low-k film of SiOC(H) structure shows characteristics according to the Si-O internal bonding structure, the Si-O bonding ratio of the ring, network and cage structure was analyzed through Fourier-transform infrared (FT-IR) analysis to measure changes in thin film properties. X-ray photoelectron spectroscopy (XPS) was also used to add reliability to the SiOC(H) film structure. In addition, the end point of the strip process was obtained using an optical emission spectroscopy sensor and variations in thin film characteristics over the plasma exposure time were analyzed. These results revealed the structural modification of the SiCO(H) thin film in the post-etch strip of the amorphous carbon layer (ACL) hardmask.
ISSN:1996-1944