Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips

© 2019 IEEE High power densities lead to thermal hot spots in modern processors. These power densities are expected to reach kW/cm2 scale in future high-performance chips and this increase may significantly degrade performance and reliability, if not handled efficiently. Using two-phase vapor chambe...

Full description

Bibliographic Details
Main Authors: Yuan, Zihao (Author), Vaartstra, Geoffrey (Author), Shukla, Prachi (Author), Said, Mostafa (Author), Reda, Sherief (Author), Wang, Evelyn (Author), Coskun, Ayse K. (Author)
Format: Article
Language:English
Published: IEEE, 2021-11-09T14:49:23Z.
Subjects:
Online Access:Get fulltext
LEADER 02629 am a22002293u 4500
001 137890
042 |a dc 
100 1 0 |a Yuan, Zihao  |e author 
700 1 0 |a Vaartstra, Geoffrey  |e author 
700 1 0 |a Shukla, Prachi  |e author 
700 1 0 |a Said, Mostafa  |e author 
700 1 0 |a Reda, Sherief  |e author 
700 1 0 |a Wang, Evelyn  |e author 
700 1 0 |a Coskun, Ayse K.  |e author 
245 0 0 |a Two-Phase Vapor Chambers with Micropillar Evaporators: A New Approach to Remove Heat from Future High-Performance Chips 
260 |b IEEE,   |c 2021-11-09T14:49:23Z. 
856 |z Get fulltext  |u https://hdl.handle.net/1721.1/137890 
520 |a © 2019 IEEE High power densities lead to thermal hot spots in modern processors. These power densities are expected to reach kW/cm2 scale in future high-performance chips and this increase may significantly degrade performance and reliability, if not handled efficiently. Using two-phase vapor chambers (VCs) with micropillar wick evaporators is an emerging technique that removes heat through the evaporation process of a coolant and has the potential to remove high heat fluxes. In this cooling system, the coolant is supplied passively to the micropillar wick via capillary pumping, eliminating the need for an external pump and ensuring stable thin-film flow. Evaluation of such an emerging cooling technique on realistic chip power densities and micropillar geometries necessitates accurate and fast thermal models. Although multi-physics simulators based on either finite-element or finite-volume methods are highly accurate, they have long design and simulation times. This paper introduces a novel compact thermal model capable of simulating two-phase vapor chambers with micropillar wick evaporators. In comparison to COMSOL, our model shows a competitively low error of 1.25°C and a 214x speedup. We also present a comparison of the cooling performance of different cooling techniques such as a conventional heat sink, liquid cooling via microchannels, hybrid cooling using thermoelectric coolers and liquid cooling via microchannels, and two-phase VCs with micropillar wick evaporators for the first time. Based on our observations, two-phase VCs and microchannel-based two-phase cooling show better cooling performance for hot spot power densities of less than 1500 W/cm2, while hybrid cooling achieves lower hot spot temperature and thermal gradients for hot spot power densities between 1500 and 2000 W/cm2 
546 |a en 
655 7 |a Article 
773 |t 10.1109/itherm.2019.8757412 
773 |t IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm)