Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation

This dissertation presents a method for fabricating integrated hollow and solid optical waveguides on planar substrates. These waveguides are antiresonant reflecting optical waveguides (ARROWs), where high-index cladding layers confine light to hollow cores through optical interference. Hollow waveg...

Full description

Bibliographic Details
Main Author: Lunt, Evan J.
Format: Others
Published: BYU ScholarsArchive 2010
Subjects:
Online Access:https://scholarsarchive.byu.edu/etd/2294
https://scholarsarchive.byu.edu/cgi/viewcontent.cgi?article=3293&context=etd
id ndltd-BGMYU2-oai-scholarsarchive.byu.edu-etd-3293
record_format oai_dc
spelling ndltd-BGMYU2-oai-scholarsarchive.byu.edu-etd-32932021-09-01T05:01:49Z Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation Lunt, Evan J. This dissertation presents a method for fabricating integrated hollow and solid optical waveguides on planar substrates. These waveguides are antiresonant reflecting optical waveguides (ARROWs), where high-index cladding layers confine light to hollow cores through optical interference. Hollow waveguides that can be filled with liquids or gases are an important new building block for creating highly-integrated optical sensors. The method developed for fabricating these integrated waveguides employs standard processes and materials used in the microelectronics industry, allowing for parallel, low-cost fabrication. Dielectric cladding layers are deposited on a silicon wafer using plasma-enhanced chemical vapor deposition (PECVD). After the lower cladding layers have been deposited, a sacrificial material is deposited and patterned using photolithography to produce the hollow-core shape. After the sacrificial cores are defined, they are coated with additional PECVD dielectric layers to form the sides and tops of the waveguides. Integrated solid-core waveguides can be easily created by etching a ridge into the top dielectric cladding layer. Finally, the ends of the sacrificial cores are exposed and removed with an acid solution, resulting in hollow waveguides. Improved optical performance for integrated ARROW platforms can be achieved by only using a single over-coating for the cladding on the sides and top of the hollow waveguide. Such a structure resulted in 70% improvement in optical throughput for the platforms and increased sensitivity for optical manipulation and fluorescence detection of single particles, including viruses. Reduced loss for the hollow waveguides can be obtained by surrounding the core with a terminal layer of air on the sides and top of the waveguide. Such devices were created by forming the hollow waveguides on top of a pedestal on the silicon substrate. This process produces the ideal geometry for hollow ARROW waveguides, and loss measurements of waveguides with air-filled cores had loss coefficients of 1.54/cm, which is the lowest achieved for air-core ARROWs. 2010-08-11T07:00:00Z text application/pdf https://scholarsarchive.byu.edu/etd/2294 https://scholarsarchive.byu.edu/cgi/viewcontent.cgi?article=3293&context=etd http://lib.byu.edu/about/copyright/ Theses and Dissertations BYU ScholarsArchive hollow waveguides ARROW PECVD SU-8 sacrificial etching plasma etching Electrical and Computer Engineering
collection NDLTD
format Others
sources NDLTD
topic hollow waveguides
ARROW
PECVD
SU-8
sacrificial etching
plasma etching
Electrical and Computer Engineering
spellingShingle hollow waveguides
ARROW
PECVD
SU-8
sacrificial etching
plasma etching
Electrical and Computer Engineering
Lunt, Evan J.
Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation
description This dissertation presents a method for fabricating integrated hollow and solid optical waveguides on planar substrates. These waveguides are antiresonant reflecting optical waveguides (ARROWs), where high-index cladding layers confine light to hollow cores through optical interference. Hollow waveguides that can be filled with liquids or gases are an important new building block for creating highly-integrated optical sensors. The method developed for fabricating these integrated waveguides employs standard processes and materials used in the microelectronics industry, allowing for parallel, low-cost fabrication. Dielectric cladding layers are deposited on a silicon wafer using plasma-enhanced chemical vapor deposition (PECVD). After the lower cladding layers have been deposited, a sacrificial material is deposited and patterned using photolithography to produce the hollow-core shape. After the sacrificial cores are defined, they are coated with additional PECVD dielectric layers to form the sides and tops of the waveguides. Integrated solid-core waveguides can be easily created by etching a ridge into the top dielectric cladding layer. Finally, the ends of the sacrificial cores are exposed and removed with an acid solution, resulting in hollow waveguides. Improved optical performance for integrated ARROW platforms can be achieved by only using a single over-coating for the cladding on the sides and top of the hollow waveguide. Such a structure resulted in 70% improvement in optical throughput for the platforms and increased sensitivity for optical manipulation and fluorescence detection of single particles, including viruses. Reduced loss for the hollow waveguides can be obtained by surrounding the core with a terminal layer of air on the sides and top of the waveguide. Such devices were created by forming the hollow waveguides on top of a pedestal on the silicon substrate. This process produces the ideal geometry for hollow ARROW waveguides, and loss measurements of waveguides with air-filled cores had loss coefficients of 1.54/cm, which is the lowest achieved for air-core ARROWs.
author Lunt, Evan J.
author_facet Lunt, Evan J.
author_sort Lunt, Evan J.
title Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation
title_short Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation
title_full Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation
title_fullStr Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation
title_full_unstemmed Low-Loss Hollow Waveguide Platforms for Optical Sensing and Manipulation
title_sort low-loss hollow waveguide platforms for optical sensing and manipulation
publisher BYU ScholarsArchive
publishDate 2010
url https://scholarsarchive.byu.edu/etd/2294
https://scholarsarchive.byu.edu/cgi/viewcontent.cgi?article=3293&context=etd
work_keys_str_mv AT luntevanj lowlosshollowwaveguideplatformsforopticalsensingandmanipulation
_version_ 1719473326331527168