Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining

FPGA clock frequencies are slow enough that only a fraction of the interconnect’s bandwidth is used. By exploiting this bandwidth, the transfer of large amounts of data can be greatly accelerated. Alternatively, it may also be possible to save area on fixed-bandwidth links by using on-chip serial si...

Full description

Bibliographic Details
Main Author: Teehan, Paul Leonard
Format: Others
Language:English
Published: University of British Columbia 2008
Subjects:
Online Access:http://hdl.handle.net/2429/2767
id ndltd-LACETR-oai-collectionscanada.gc.ca-BVAU.-2767
record_format oai_dc
spelling ndltd-LACETR-oai-collectionscanada.gc.ca-BVAU.-27672013-06-05T04:16:52ZReliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipeliningTeehan, Paul LeonardCircuit designField-programmable gate arraysOn-chip interconnectFPGA clock frequencies are slow enough that only a fraction of the interconnect’s bandwidth is used. By exploiting this bandwidth, the transfer of large amounts of data can be greatly accelerated. Alternatively, it may also be possible to save area on fixed-bandwidth links by using on-chip serial signaling. For datapath-intensive designs which operate on words instead of bits, this can reduce wiring congestion as well. This thesis proposes relatively simple circuit-level modifications to FPGA interconnect to enable high-bandwidth communication. High-level area estimates indicate a potential interconnect area savings of 10 to 60% when serial links are used. Two interconnect pipelining techniques, wave pipelining and surfing, are adapted to FPGAs and compared against each other and against regular FPGA interconnect in terms of throughput, reliability, area, power, and latency. Source-synchronous signaling is used to achieve high data rates with simple receiver design. Statistical models for high-frequency power supply noise are developed and used to estimate the probability of error of wave pipelined and surfing links as a function of link length and operating speed. Surfing is generally found to be more reliable and less sensitive to noise than wave pipelining. Simulation results in a 65nm process demonstrate a throughput of 3Gbps per wire across a 50-stage, 25mm link.University of British Columbia2008-11-12T14:17:20Z2008-11-12T14:17:20Z20082008-11-12T14:17:20Z2009-05Electronic Thesis or Dissertation4713151 bytesapplication/pdfhttp://hdl.handle.net/2429/2767eng
collection NDLTD
language English
format Others
sources NDLTD
topic Circuit design
Field-programmable gate arrays
On-chip interconnect
spellingShingle Circuit design
Field-programmable gate arrays
On-chip interconnect
Teehan, Paul Leonard
Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining
description FPGA clock frequencies are slow enough that only a fraction of the interconnect’s bandwidth is used. By exploiting this bandwidth, the transfer of large amounts of data can be greatly accelerated. Alternatively, it may also be possible to save area on fixed-bandwidth links by using on-chip serial signaling. For datapath-intensive designs which operate on words instead of bits, this can reduce wiring congestion as well. This thesis proposes relatively simple circuit-level modifications to FPGA interconnect to enable high-bandwidth communication. High-level area estimates indicate a potential interconnect area savings of 10 to 60% when serial links are used. Two interconnect pipelining techniques, wave pipelining and surfing, are adapted to FPGAs and compared against each other and against regular FPGA interconnect in terms of throughput, reliability, area, power, and latency. Source-synchronous signaling is used to achieve high data rates with simple receiver design. Statistical models for high-frequency power supply noise are developed and used to estimate the probability of error of wave pipelined and surfing links as a function of link length and operating speed. Surfing is generally found to be more reliable and less sensitive to noise than wave pipelining. Simulation results in a 65nm process demonstrate a throughput of 3Gbps per wire across a 50-stage, 25mm link.
author Teehan, Paul Leonard
author_facet Teehan, Paul Leonard
author_sort Teehan, Paul Leonard
title Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining
title_short Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining
title_full Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining
title_fullStr Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining
title_full_unstemmed Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining
title_sort reliable high-throughput fpga interconnect using source-synchronous surfing and wave pipelining
publisher University of British Columbia
publishDate 2008
url http://hdl.handle.net/2429/2767
work_keys_str_mv AT teehanpaulleonard reliablehighthroughputfpgainterconnectusingsourcesynchronoussurfingandwavepipelining
_version_ 1716586866250088448