A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm

碩士 === 國立成功大學 === 電機工程學系 === 103 === In modern IC design flow, powerplanning plays a crucial role in the stage of physical design. Due to advance in manufacture technology, the number of transistors have been increased substantially and the netlists have been more complicated in a chip. Thus, it cau...

Full description

Bibliographic Details
Main Authors: Tzu-ChingWeng, 翁子清
Other Authors: Jai-Ming Lin
Format: Others
Language:zh-TW
Published: 2015
Online Access:http://ndltd.ncl.edu.tw/handle/60892055215708872410
id ndltd-TW-103NCKU5442112
record_format oai_dc
spelling ndltd-TW-103NCKU54421122016-08-15T04:17:47Z http://ndltd.ncl.edu.tw/handle/60892055215708872410 A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm 基於動態規劃演算法且以可繞度為導向之電源網路規劃方法 Tzu-ChingWeng 翁子清 碩士 國立成功大學 電機工程學系 103 In modern IC design flow, powerplanning plays a crucial role in the stage of physical design. Due to advance in manufacture technology, the number of transistors have been increased substantially and the netlists have been more complicated in a chip. Thus, it caused the difficulty in routing stage. It became an important issue considering routability during powerplanning. In most industrial practice, experienced engineers complete the power network through EDA tools manually. In order to satisfy with the constraint of IR-drop, they always use a large amount of metal stripes to cover the whole chip. Such method will cause power network using too many unnecessary areas and routing resources, the cost of the design will increase. Additionally, some power strips will be removed when finding out un-routable regions during routing stage. However, such method may delay design cycle. Nevertheless, most of the previous researches concern about wire sizing to satisfy with the constraint of IR-drop, but few of them mention about routability issue. Unlike these previous works, this thesis adopts an equivalent circuit model to estimate the total usage area of power network to meet the constraint of IR-drop, and use such a suitable width that power stripes take less routing resources. Also, we consider the routing congestion, determine the location of each power stripes based on the dynamic programming algorithm, and make the whole design have good routability. The experimental results validate our method can not only satisfy with the constraint of IR-drop, but also make designs very routable. Jai-Ming Lin 林家民 2015 學位論文 ; thesis 52 zh-TW
collection NDLTD
language zh-TW
format Others
sources NDLTD
description 碩士 === 國立成功大學 === 電機工程學系 === 103 === In modern IC design flow, powerplanning plays a crucial role in the stage of physical design. Due to advance in manufacture technology, the number of transistors have been increased substantially and the netlists have been more complicated in a chip. Thus, it caused the difficulty in routing stage. It became an important issue considering routability during powerplanning. In most industrial practice, experienced engineers complete the power network through EDA tools manually. In order to satisfy with the constraint of IR-drop, they always use a large amount of metal stripes to cover the whole chip. Such method will cause power network using too many unnecessary areas and routing resources, the cost of the design will increase. Additionally, some power strips will be removed when finding out un-routable regions during routing stage. However, such method may delay design cycle. Nevertheless, most of the previous researches concern about wire sizing to satisfy with the constraint of IR-drop, but few of them mention about routability issue. Unlike these previous works, this thesis adopts an equivalent circuit model to estimate the total usage area of power network to meet the constraint of IR-drop, and use such a suitable width that power stripes take less routing resources. Also, we consider the routing congestion, determine the location of each power stripes based on the dynamic programming algorithm, and make the whole design have good routability. The experimental results validate our method can not only satisfy with the constraint of IR-drop, but also make designs very routable.
author2 Jai-Ming Lin
author_facet Jai-Ming Lin
Tzu-ChingWeng
翁子清
author Tzu-ChingWeng
翁子清
spellingShingle Tzu-ChingWeng
翁子清
A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm
author_sort Tzu-ChingWeng
title A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm
title_short A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm
title_full A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm
title_fullStr A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm
title_full_unstemmed A Routability-Driven Powerplanning Methodology Based in the Dynamic Programming Algorithm
title_sort routability-driven powerplanning methodology based in the dynamic programming algorithm
publishDate 2015
url http://ndltd.ncl.edu.tw/handle/60892055215708872410
work_keys_str_mv AT tzuchingweng aroutabilitydrivenpowerplanningmethodologybasedinthedynamicprogrammingalgorithm
AT wēngziqīng aroutabilitydrivenpowerplanningmethodologybasedinthedynamicprogrammingalgorithm
AT tzuchingweng jīyúdòngtàiguīhuàyǎnsuànfǎqiěyǐkěràodùwèidǎoxiàngzhīdiànyuánwǎnglùguīhuàfāngfǎ
AT wēngziqīng jīyúdòngtàiguīhuàyǎnsuànfǎqiěyǐkěràodùwèidǎoxiàngzhīdiànyuánwǎnglùguīhuàfāngfǎ
AT tzuchingweng routabilitydrivenpowerplanningmethodologybasedinthedynamicprogrammingalgorithm
AT wēngziqīng routabilitydrivenpowerplanningmethodologybasedinthedynamicprogrammingalgorithm
_version_ 1718376990244864000