Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development

Cell-based design is a widely adopted design approach in current Application Specific Integrated Circuits (ASIC) and System-on-Chip (SOC) designs. A standard cell library is a collection of basic building blocks that can be used in cell-based design. The use of a standard cell library offers shorter...

Full description

Bibliographic Details
Main Author: Djigbenou, Jeannette Donan
Other Authors: Electrical and Computer Engineering
Format: Others
Published: Virginia Tech 2014
Subjects:
Online Access:http://hdl.handle.net/10919/32269
http://scholar.lib.vt.edu/theses/available/etd-05062008-204445/
id ndltd-VTETD-oai-vtechworks.lib.vt.edu-10919-32269
record_format oai_dc
spelling ndltd-VTETD-oai-vtechworks.lib.vt.edu-10919-322692021-11-17T05:37:46Z Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development Djigbenou, Jeannette Donan Electrical and Computer Engineering Ha, Dong Sam Schaumont, Patrick R. Tront, Joseph G. VLSI design CAD Tools Standard cell library Automation ASIC design Quality Assurance CMOS technologies Cell-based design is a widely adopted design approach in current Application Specific Integrated Circuits (ASIC) and System-on-Chip (SOC) designs. A standard cell library is a collection of basic building blocks that can be used in cell-based design. The use of a standard cell library offers shorter design time, induces fewer errors in the design process, and is easier to maintain. Development of a cell library is laborious, prone to errors and even a small error on a library cell can possibly be disastrous due to repeated use of the cell in a design. In this thesis, we investigated ways to automate the process for development of a cell library, specifically TSMC 0.18-micron CMOS standard cell library. We examined various steps in the design flow to identify required repetitive tasks for individual cells. Those steps include physical verification, netlist extraction, cell characterization, and generation of Synopsys Liberty Format file. We developed necessary scripts in Skill, Tcl, Perl and Shell to automate those steps. Additionally, we developed scripts to automate the quality assurance process of the cell library, where quality assurance consists of verifying the entire ASIC design flow adopted for the Virginia Tech VLSI Telecommunications (VTVT) lab. Our scripts have been successfully used to develop our TSMC 0.18-micron library and to verify the quality assurance. The first version of the cell library was released on November 1, 2007 to universities worldwide, and as of March 2008, 20 universities have received the library from us. Master of Science 2014-03-14T20:35:20Z 2014-03-14T20:35:20Z 2008-05-05 2008-05-06 2008-05-29 2008-05-29 Thesis etd-05062008-204445 http://hdl.handle.net/10919/32269 http://scholar.lib.vt.edu/theses/available/etd-05062008-204445/ Thesis_V2.pdf In Copyright http://rightsstatements.org/vocab/InC/1.0/ application/pdf Virginia Tech
collection NDLTD
format Others
sources NDLTD
topic VLSI design
CAD Tools
Standard cell library
Automation
ASIC design
Quality Assurance
CMOS technologies
spellingShingle VLSI design
CAD Tools
Standard cell library
Automation
ASIC design
Quality Assurance
CMOS technologies
Djigbenou, Jeannette Donan
Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development
description Cell-based design is a widely adopted design approach in current Application Specific Integrated Circuits (ASIC) and System-on-Chip (SOC) designs. A standard cell library is a collection of basic building blocks that can be used in cell-based design. The use of a standard cell library offers shorter design time, induces fewer errors in the design process, and is easier to maintain. Development of a cell library is laborious, prone to errors and even a small error on a library cell can possibly be disastrous due to repeated use of the cell in a design. In this thesis, we investigated ways to automate the process for development of a cell library, specifically TSMC 0.18-micron CMOS standard cell library. We examined various steps in the design flow to identify required repetitive tasks for individual cells. Those steps include physical verification, netlist extraction, cell characterization, and generation of Synopsys Liberty Format file. We developed necessary scripts in Skill, Tcl, Perl and Shell to automate those steps. Additionally, we developed scripts to automate the quality assurance process of the cell library, where quality assurance consists of verifying the entire ASIC design flow adopted for the Virginia Tech VLSI Telecommunications (VTVT) lab. Our scripts have been successfully used to develop our TSMC 0.18-micron library and to verify the quality assurance. The first version of the cell library was released on November 1, 2007 to universities worldwide, and as of March 2008, 20 universities have received the library from us. === Master of Science
author2 Electrical and Computer Engineering
author_facet Electrical and Computer Engineering
Djigbenou, Jeannette Donan
author Djigbenou, Jeannette Donan
author_sort Djigbenou, Jeannette Donan
title Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development
title_short Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development
title_full Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development
title_fullStr Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development
title_full_unstemmed Towards Automation of ASIC TSMC 0.18 um Standard Cell Library Development
title_sort towards automation of asic tsmc 0.18 um standard cell library development
publisher Virginia Tech
publishDate 2014
url http://hdl.handle.net/10919/32269
http://scholar.lib.vt.edu/theses/available/etd-05062008-204445/
work_keys_str_mv AT djigbenoujeannettedonan towardsautomationofasictsmc018umstandardcelllibrarydevelopment
_version_ 1719494260144734208