Extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor

In this thesis we propose to realize the performance benefits of applicationspecific hardware optimizations in a general-purpose, multi-user system environment using a dynamically extensible microprocessor architecture. We have called our dynamically extensible micropr...

Full description

Bibliographic Details
Main Author: Pittman, Richard Neil
Other Authors: Liu, Jyh-Charn
Format: Others
Language:en_US
Published: Texas A&M University 2007
Subjects:
ISA
Online Access:http://hdl.handle.net/1969.1/5976
id ndltd-tamu.edu-oai-repository.tamu.edu-1969.1-5976
record_format oai_dc
spelling ndltd-tamu.edu-oai-repository.tamu.edu-1969.1-59762013-01-08T10:38:56ZExtensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessorPittman, Richard NeilMIPSeMIPSreconfigurableFPGAconfigurableextensibleRISCISAextensionsIn this thesis we propose to realize the performance benefits of applicationspecific hardware optimizations in a general-purpose, multi-user system environment using a dynamically extensible microprocessor architecture. We have called our dynamically extensible microprocessor design the Extensible Microprocessor without Interlocked Pipeline Stages, or eMIPS. The eMIPS architecture uses the interaction of fixed and configurable logic available in modern Field Programmable Gate Array (FPGA). This interaction is used to address the limitations of current microprocessor architectures based solely on Application Specific Integrated Circuits (ASIC). These limitations include inflexibility, size, and application specific performance optimization. The eMIPS system allows multiple secure extensions to load dynamically and to plug into the stages of a pipelined central processing unit (CPU) data path, thereby extending the core instruction set of the microprocessor. Extensions can also be used to realize on-chip peripherals, and if area permits, even multiple cores. Extension instructions reduce dramatically the execution time of frequently executed instruction patterns. These new functionalities we have developed can be exploited by patching the binaries of existing applications, without any changes to the compilers. A FPGA based workstation prototype and a flexible simulation system implementating this design demonstrates speedups of 2x-3x on a set of applications that include video games, real-time programs and the SPEC2000 integer benchmarks. eMIPS is the first realized workstation based entirely on a dynamically extensible microprocessor that is safe for general purpose, multi-user applications. By exposing the individual stages of the data path, eMIPS allows optimizations not previously possible. This includes permitting safe and coherent accesses to memory from within an extension, optimizing multi-branched blocks, and throwing precise and restart able exceptions from within an extension. This work describes a simplified implementation of an extensible microprocessor architecture based on the Microprocessor without Interlocked Pipeline Stages (MIPS) Reduced Instruction Set Computer (RISC) architecture. The concepts and methods contained within this thesis may be applied to other similar architectures. Given this simplified prototype we look forward to propose how this architecture will be expanded as it matures.Texas A&M UniversityLiu, Jyh-Charn2007-09-17T19:40:17Z2007-09-17T19:40:17Z2003-052007-09-17T19:40:17ZElectronic Thesistext1420088 byteselectronicapplication/pdfborn digitalhttp://hdl.handle.net/1969.1/5976en_US
collection NDLTD
language en_US
format Others
sources NDLTD
topic MIPS
eMIPS
reconfigurable
FPGA
configurable
extensible
RISC
ISA
extensions
spellingShingle MIPS
eMIPS
reconfigurable
FPGA
configurable
extensible
RISC
ISA
extensions
Pittman, Richard Neil
Extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor
description In this thesis we propose to realize the performance benefits of applicationspecific hardware optimizations in a general-purpose, multi-user system environment using a dynamically extensible microprocessor architecture. We have called our dynamically extensible microprocessor design the Extensible Microprocessor without Interlocked Pipeline Stages, or eMIPS. The eMIPS architecture uses the interaction of fixed and configurable logic available in modern Field Programmable Gate Array (FPGA). This interaction is used to address the limitations of current microprocessor architectures based solely on Application Specific Integrated Circuits (ASIC). These limitations include inflexibility, size, and application specific performance optimization. The eMIPS system allows multiple secure extensions to load dynamically and to plug into the stages of a pipelined central processing unit (CPU) data path, thereby extending the core instruction set of the microprocessor. Extensions can also be used to realize on-chip peripherals, and if area permits, even multiple cores. Extension instructions reduce dramatically the execution time of frequently executed instruction patterns. These new functionalities we have developed can be exploited by patching the binaries of existing applications, without any changes to the compilers. A FPGA based workstation prototype and a flexible simulation system implementating this design demonstrates speedups of 2x-3x on a set of applications that include video games, real-time programs and the SPEC2000 integer benchmarks. eMIPS is the first realized workstation based entirely on a dynamically extensible microprocessor that is safe for general purpose, multi-user applications. By exposing the individual stages of the data path, eMIPS allows optimizations not previously possible. This includes permitting safe and coherent accesses to memory from within an extension, optimizing multi-branched blocks, and throwing precise and restart able exceptions from within an extension. This work describes a simplified implementation of an extensible microprocessor architecture based on the Microprocessor without Interlocked Pipeline Stages (MIPS) Reduced Instruction Set Computer (RISC) architecture. The concepts and methods contained within this thesis may be applied to other similar architectures. Given this simplified prototype we look forward to propose how this architecture will be expanded as it matures.
author2 Liu, Jyh-Charn
author_facet Liu, Jyh-Charn
Pittman, Richard Neil
author Pittman, Richard Neil
author_sort Pittman, Richard Neil
title Extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor
title_short Extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor
title_full Extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor
title_fullStr Extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor
title_full_unstemmed Extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor
title_sort extensible microprocessor without interlocked pipeline stages (emips), the reconfigurable microprocessor
publisher Texas A&M University
publishDate 2007
url http://hdl.handle.net/1969.1/5976
work_keys_str_mv AT pittmanrichardneil extensiblemicroprocessorwithoutinterlockedpipelinestagesemipsthereconfigurablemicroprocessor
_version_ 1716503703754637312