Exploiting Read/Write Asymmetry to Achieve Opportunistic SRAM Voltage Switching in Dual-Supply Near-Threshold Processors

Energy-efficient microprocessors are essential for a wide range of applications. While near-threshold computing is a promising technique to improve energy efficiency, optimal supply demands from logic core and on-chip memory are conflicting. In this paper, we perform static reliability analysis of 6...

Full description

Bibliographic Details
Main Authors: Yunfei Gu, Dengxue Yan, Vaibhav Verma, Pai Wang, Mircea R. Stan, Xuan Zhang
Format: Article
Language:English
Published: MDPI AG 2018-08-01
Series:Journal of Low Power Electronics and Applications
Subjects:
Online Access:http://www.mdpi.com/2079-9268/8/3/28