Low Power Clock Network Design

Power is a primary concern in modern circuits. Clock distribution networks, in particular, are an essential element of a synchronous digital circuit and a significant power consumer. Clock distribution networks are subject to clock skew due to process, voltage, and temperature (PVT) variations and l...

Full description

Bibliographic Details
Main Authors: Inna Vaisband, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny
Format: Article
Language:English
Published: MDPI AG 2011-05-01
Series:Journal of Low Power Electronics and Applications
Subjects:
Online Access:http://www.mdpi.com/2079-9268/1/1/219/
id doaj-868dd6290e454c8c9ca00170af54ca2a
record_format Article
spelling doaj-868dd6290e454c8c9ca00170af54ca2a2020-11-25T01:27:05ZengMDPI AGJournal of Low Power Electronics and Applications2079-92682011-05-011121924610.3390/jlpea1010219Low Power Clock Network DesignInna VaisbandEby G. FriedmanRan GinosarAvinoam KolodnyPower is a primary concern in modern circuits. Clock distribution networks, in particular, are an essential element of a synchronous digital circuit and a significant power consumer. Clock distribution networks are subject to clock skew due to process, voltage, and temperature (PVT) variations and load imbalances. A target skew between sequentially-adjacent registers can be obtained in a balanced low power clock tree using techniques such as buffer and wire sizing. Existing skew mitigation techniques in tree-based clock distribution networks, however, are not efficient in coping with post design variations; whereas the latest non-tree mesh-based solutions reliably handle skew variations, albeit with a significant increase in dissipated power. Alternatively, crosslink-based methods provide low power and variation-efficient skew solutions. Existing crosslink-based methods, however, only address skew at the network topology level and do not target low power consumption. Different methods to manage skew and skew variations within tree and non-tree clock distribution networks are reviewed and compared in this paper. Guidelines for inserting crosslinks within a buffered low power clock tree are provided. Metrics to determine the most power efficient technique for a given circuit are discussed and verified with simulation.http://www.mdpi.com/2079-9268/1/1/219/low powerskewskew variationcrosslinksmeshtopologies
collection DOAJ
language English
format Article
sources DOAJ
author Inna Vaisband
Eby G. Friedman
Ran Ginosar
Avinoam Kolodny
spellingShingle Inna Vaisband
Eby G. Friedman
Ran Ginosar
Avinoam Kolodny
Low Power Clock Network Design
Journal of Low Power Electronics and Applications
low power
skew
skew variation
crosslinks
mesh
topologies
author_facet Inna Vaisband
Eby G. Friedman
Ran Ginosar
Avinoam Kolodny
author_sort Inna Vaisband
title Low Power Clock Network Design
title_short Low Power Clock Network Design
title_full Low Power Clock Network Design
title_fullStr Low Power Clock Network Design
title_full_unstemmed Low Power Clock Network Design
title_sort low power clock network design
publisher MDPI AG
series Journal of Low Power Electronics and Applications
issn 2079-9268
publishDate 2011-05-01
description Power is a primary concern in modern circuits. Clock distribution networks, in particular, are an essential element of a synchronous digital circuit and a significant power consumer. Clock distribution networks are subject to clock skew due to process, voltage, and temperature (PVT) variations and load imbalances. A target skew between sequentially-adjacent registers can be obtained in a balanced low power clock tree using techniques such as buffer and wire sizing. Existing skew mitigation techniques in tree-based clock distribution networks, however, are not efficient in coping with post design variations; whereas the latest non-tree mesh-based solutions reliably handle skew variations, albeit with a significant increase in dissipated power. Alternatively, crosslink-based methods provide low power and variation-efficient skew solutions. Existing crosslink-based methods, however, only address skew at the network topology level and do not target low power consumption. Different methods to manage skew and skew variations within tree and non-tree clock distribution networks are reviewed and compared in this paper. Guidelines for inserting crosslinks within a buffered low power clock tree are provided. Metrics to determine the most power efficient technique for a given circuit are discussed and verified with simulation.
topic low power
skew
skew variation
crosslinks
mesh
topologies
url http://www.mdpi.com/2079-9268/1/1/219/
work_keys_str_mv AT innavaisband lowpowerclocknetworkdesign
AT ebygfriedman lowpowerclocknetworkdesign
AT ranginosar lowpowerclocknetworkdesign
AT avinoamkolodny lowpowerclocknetworkdesign
_version_ 1725107226196049920