PkMin: Peak Power Minimization for Multi-Threaded Many-Core Applications

Multiple multi-threaded tasks constitute a modern many-core application. An accompanying generic Directed Acyclic Graph (DAG) represents the execution precedence relationship between the tasks. The application comes with a hard deadline and high peak power consumption. Parallel execution of multiple...

Full description

Bibliographic Details
Main Authors: Arka Maity, Anuj Pathania, Tulika Mitra
Format: Article
Language:English
Published: MDPI AG 2020-09-01
Series:Journal of Low Power Electronics and Applications
Subjects:
Online Access:https://www.mdpi.com/2079-9268/10/4/31