A 100 Gb/s Quad-Lane SerDes Receiver with a PI-Based Quarter-Rate All-Digital CDR

A 100 Gb/s quad-lane SerDes receiver with a phase-interpolator (PI)-based quarter-rate all-digital clock and data recovery (CDR) is presented. The proposed CDR utilizes a multi-phase multiplying delay-locked loop (MDLL) to generate the eight-phase reference clocks, which achieves multi-phase frequen...

Full description

Bibliographic Details
Main Authors: Heejae Hwang, Jongsun Kim
Format: Article
Language:English
Published: MDPI AG 2020-07-01
Series:Electronics
Subjects:
CDR
Online Access:https://www.mdpi.com/2079-9292/9/7/1113