Modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packages

Effective power delivery in Double-sided 3-D glass interposer packages was proposed, investigated, and demonstrated towards achieving high logic-to-memory bandwidth. Such 3-D interposers enable a simpler alternative to direct 3-D stacking by providing low-loss, wide-I/O channels between the logic de...

Full description

Bibliographic Details
Main Author: Kumar, Gokul
Other Authors: Tummala, Rao R.
Format: Others
Language:en_US
Published: Georgia Institute of Technology 2016
Subjects:
Online Access:http://hdl.handle.net/1853/54356
id ndltd-GATECH-oai-smartech.gatech.edu-1853-54356
record_format oai_dc
spelling ndltd-GATECH-oai-smartech.gatech.edu-1853-543562016-02-17T03:34:40ZModeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packagesKumar, Gokul3-D interposersGlass packagesLogic-to-memory interconnectionsPower deliveryElectronic packagingSignal and power integrityEffective power delivery in Double-sided 3-D glass interposer packages was proposed, investigated, and demonstrated towards achieving high logic-to-memory bandwidth. Such 3-D interposers enable a simpler alternative to direct 3-D stacking by providing low-loss, wide-I/O channels between the logic device on one side of the ultra-thin glass interposer and memory stack on the other side, eliminating the need for complex TSVs in the logic die. A simplified PDN design approach with power-ground planes was proposed to overcome resonance challenges from (a) added parasitic inductance in the lateral power delivery path from the printed wiring board (PWB), due to die placement on the bottom side of the interposer, and (b) the low-loss property of the glass substrate. Based on this approach, this dissertation developed three important suppression solutions using, (a) the 3-D interposer package configuration, (b) the selection of embedded and SMT-based decoupling capacitors, and (c) coaxial power-ground planes with TPVs. The self-impedance of the 3-D glass interposer PDN was simulated using electromagnetic solvers, including printed-wiring-board (PWB) and chip-level models. Two-metal and four-metal layer test vehicles were fabricated on 30-μm and 100-μm thick glass substrates using a panel-based double-side fabrication process, for potential lower cost and improved electrical performance. The PDN test structures were characterized upto 20 GHz, to demonstrate the measured verification of (a) 3-D glass interposer power delivery network and (b) resonance suppression. The data and analysis presented in this dissertation prove that the objectives of this research were met successfully, leading to the first demonstration of effective PDN design in ultra-thin (30-100μm), and 3-D double-sided glass BGA packages, by suppressing the PDN noise from mode resonances.Georgia Institute of TechnologyTummala, Rao R.2016-01-07T17:23:33Z2016-01-07T17:23:33Z2015-122015-08-25December 20152016-01-07T17:23:33ZDissertationapplication/pdfhttp://hdl.handle.net/1853/54356en_US
collection NDLTD
language en_US
format Others
sources NDLTD
topic 3-D interposers
Glass packages
Logic-to-memory interconnections
Power delivery
Electronic packaging
Signal and power integrity
spellingShingle 3-D interposers
Glass packages
Logic-to-memory interconnections
Power delivery
Electronic packaging
Signal and power integrity
Kumar, Gokul
Modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packages
description Effective power delivery in Double-sided 3-D glass interposer packages was proposed, investigated, and demonstrated towards achieving high logic-to-memory bandwidth. Such 3-D interposers enable a simpler alternative to direct 3-D stacking by providing low-loss, wide-I/O channels between the logic device on one side of the ultra-thin glass interposer and memory stack on the other side, eliminating the need for complex TSVs in the logic die. A simplified PDN design approach with power-ground planes was proposed to overcome resonance challenges from (a) added parasitic inductance in the lateral power delivery path from the printed wiring board (PWB), due to die placement on the bottom side of the interposer, and (b) the low-loss property of the glass substrate. Based on this approach, this dissertation developed three important suppression solutions using, (a) the 3-D interposer package configuration, (b) the selection of embedded and SMT-based decoupling capacitors, and (c) coaxial power-ground planes with TPVs. The self-impedance of the 3-D glass interposer PDN was simulated using electromagnetic solvers, including printed-wiring-board (PWB) and chip-level models. Two-metal and four-metal layer test vehicles were fabricated on 30-μm and 100-μm thick glass substrates using a panel-based double-side fabrication process, for potential lower cost and improved electrical performance. The PDN test structures were characterized upto 20 GHz, to demonstrate the measured verification of (a) 3-D glass interposer power delivery network and (b) resonance suppression. The data and analysis presented in this dissertation prove that the objectives of this research were met successfully, leading to the first demonstration of effective PDN design in ultra-thin (30-100μm), and 3-D double-sided glass BGA packages, by suppressing the PDN noise from mode resonances.
author2 Tummala, Rao R.
author_facet Tummala, Rao R.
Kumar, Gokul
author Kumar, Gokul
author_sort Kumar, Gokul
title Modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packages
title_short Modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packages
title_full Modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packages
title_fullStr Modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packages
title_full_unstemmed Modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-D glass interposer packages
title_sort modeling, design, fabrication and characterization of power delivery networks and resonance suppression in double-sided 3-d glass interposer packages
publisher Georgia Institute of Technology
publishDate 2016
url http://hdl.handle.net/1853/54356
work_keys_str_mv AT kumargokul modelingdesignfabricationandcharacterizationofpowerdeliverynetworksandresonancesuppressionindoublesided3dglassinterposerpackages
_version_ 1718189634327937024