Glitch Reduction and CAD Algorithm Noise in FPGAs

This thesis presents two contributions to the FPGA CAD domain. First, a study of glitch power in a commercial FPGA is presented, showing that glitch power in FPGAs is significant. A CAD algorithm is presented that reduces glitch power at the post-routing stage by taking advantage of don't-cares...

Full description

Bibliographic Details
Main Author: Shum, Warren
Other Authors: Anderson, Jason
Language:en_ca
Published: 2011
Subjects:
CAD
Online Access:http://hdl.handle.net/1807/31442