A Study on the Effect of Ionizing Radiation on MOS Devices with Various Hf-based Dielectric Thickness

碩士 === 國立交通大學 === 加速器光源科技與應用碩士學位學程 === 101 === Extreme ultraviolet lithography (EUVL) is a promising technology and is most likely to be the next generation lithography technology in the future. Subsequently, the radiation damage during exposing process is inevitable and should be considered careful...

Full description

Bibliographic Details
Main Authors: Sung, Ming-Hung, 孫銘鴻
Other Authors: Tsui, Bing-Yue
Format: Others
Language:en_US
Published: 2012
Online Access:http://ndltd.ncl.edu.tw/handle/69144370651093290009
Description
Summary:碩士 === 國立交通大學 === 加速器光源科技與應用碩士學位學程 === 101 === Extreme ultraviolet lithography (EUVL) is a promising technology and is most likely to be the next generation lithography technology in the future. Subsequently, the radiation damage during exposing process is inevitable and should be considered carefully. In this study, EUV irradiation damage effects on the metal gate/high dielectric constant (high-k) dielectric metal-insulator-semiconductor (MIS) capacitors with different dielectric thicknesses are evaluated. Moreover, we also prepare the state-of-the-art n-channel Metal-Oxide-Semiconductor-Field-Effect-Transistor (nMOSFET) in order to demonstrate radiation effect on nMOSFET. Before and after EUV irradiation, the electrical characteristics of MIS capacitors change distinctly. Capacitance-voltage (C-V) curve shift, C-V curve distortion, and increment of hysteresis are observed. These results indicate that oxide-traps, interface traps, and border traps increase after irradiation as well as the charges trapped by these traps. Among the capacitors with different dielectric thicknesses, the sample with the thinnest dielectric thickness offers the best radiation hardness, and the amount of radiation damage increases with dielectric thickness increasing. The observations on the state-of-the-art nMOSFET are consistent with those on the MIS capacitors. Differently, the radiation effect on field oxide is unignorable because it is much thicker than gate oxide. The increment of interface states after irradiation at the field oxide/silicon interface act as generation and recombination center, and contribute to high off-state leakage current. Besides, the reliability of nMOSFET is investigated by positive bias temperature instability (PBTI) measurement before and after irradiation. In the meantime, we continually monitor the recovery property of MIS capacitors and nMOSFET after irradiation. The self-annealing effect is observed after storage the samples at room temperature for a long time. Nevertheless, it cannot repair the damage completely; hence, we try to anneal the samples at higher temperatures, and receive a good result. Nearly all of the samples recover to the initial state after 400~500 □C annealing. In this study, not only EUV but also 10 keV X-ray is utilized to evaluate radiation hardness of devices. We observe that the absorptivity of material plays an important role to influence the radiation damage effect.