Congestion-Aware Powerplanning Methodology Considering IR-Drop Constraint Based on the Hybrid GA-SA Algorithm

碩士 === 國立成功大學 === 電機工程學系 === 104 === Powerplanning is a crucial stage in the physical design. Due to advance in manufacture technology, the number of transistors in a chip increases dramatically which leads to higher power consumption and makes routing more difficult. Since a power network will occu...

Full description

Bibliographic Details
Main Authors: Ming-ChungHu, 胡明全
Other Authors: Jai-Ming Lin
Format: Others
Language:zh-TW
Published: 2016
Online Access:http://ndltd.ncl.edu.tw/handle/7x2v5j