Power and Area Efficient Hold Time Fixing by Free Metal Segment Allocation

碩士 === 國立交通大學 === 電子研究所 === 105 === Hold time fixing ensures correct data synchronization, which is essential and serves as the final step of timing closure for IC design. Conventionally, buffer insertion is adopted to fix hold time violations; however, in last step of hold time fixing, leave numero...

Full description

Bibliographic Details
Main Authors: Chiu, Wei-Lun, 邱緯綸
Other Authors: Jiang, Hui-Ru
Format: Others
Language:zh-TW
Published: 2017
Online Access:http://ndltd.ncl.edu.tw/handle/924e8n