Duty-Cycle Based Physical Unclonable Functions (PUFs) for Hardware Security Applications

Duty cycle and frequency are important characteristics of periodic signals that are exploited to develop a variety of application circuits in IC design. Controlling the duty cycle and frequency provides a method to develop adaptable circuits for a variety of applications. These app...

Full description

Bibliographic Details
Main Author: Azhar, Mahmood Javed
Format: Others
Published: Scholar Commons 2018
Subjects:
PVT
Online Access:https://scholarcommons.usf.edu/etd/7470
https://scholarcommons.usf.edu/cgi/viewcontent.cgi?article=8667&context=etd