Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations

Ammonia-peroxide mixture (APM) is a widely used wet chemical system for particle removal from silicon surfaces. The conventional APM solution in a volume ratio of 1:1:5 (NH4OH:H2O2:H2O) is employed at elevated temperatures of 70-80 °C. At these temperatures, APM solution etches silicon at a rate of...

Full description

Bibliographic Details
Main Author: Siddiqui, Shariq
Other Authors: Raghavan, Srini
Language:en
Published: The University of Arizona. 2011
Subjects:
Online Access:http://hdl.handle.net/10150/201511
id ndltd-arizona.edu-oai-arizona.openrepository.com-10150-201511
record_format oai_dc
spelling ndltd-arizona.edu-oai-arizona.openrepository.com-10150-2015112015-10-23T04:47:10Z Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations Siddiqui, Shariq Raghavan, Srini Seraphin, Supapan Zhang, Jinhong Keswani, Manish Raghavan, Srini Materials Science & Engineering Ammonia-peroxide mixture (APM) is a widely used wet chemical system for particle removal from silicon surfaces. The conventional APM solution in a volume ratio of 1:1:5 (NH4OH:H2O2:H2O) is employed at elevated temperatures of 70-80 °C. At these temperatures, APM solution etches silicon at a rate of ~3 Å/min, which is unacceptable for current technology node. Additionally, APM solutions are unstable due to the decomposition of hydrogen peroxide and evaporative loss of ammonium hydroxide resulting in the change in APM solution composition. This has generated interest in the use of dilute APM solutions. However, dilution ratios are chosen without any established fundamental relationship between particle-wafer interactions and APM solutions.Atomic force microscopy has been used to measure interaction forces between H-terminated Si surface and Si tip in APM solutions of different compositions. The approach force curves results show attractive forces in DI-water, NH4OH:H2O (1:100) and H2O2:H2O (1:100) solutions at separation distances of less than 10 nm for all immersion times (2, 10 and 60 min) investigated. In the case of dilute APM solutions, the forces are purely repulsive within 2 min of immersion time. During retraction, the adhesion force between Si surface and Si tip was in the range of 0.8 nN to 10.0 nN. In dilute APM solutions, no adhesion force is measured between Si surfaces and repulsive forces dominated at all distances. These results show that even in very dilute APM solutions, repulsive forces exist between Si surface and particle re-deposition can be prevented.The stability of APM solutions has been investigated as a function of temperature (24 - 65 °C), dilution ratio (1:1:5 - 1:2:100), solution pH (8.0 - 9.7) and Fe2+ concentration (0 - 10 ppb) using an optical concentration monitor. The results show that the rate of H2O2 decomposition increased with an increase in temperature, solution pH and Fe2+ concentration. The kinetic analysis showed that the H2O2 decomposition follows a first order kinetics with respect to both H2O2 and OH- concentrations. In the presence of Fe2+, hydrogen peroxide decomposition follows a first order reaction kinetics with respect to H2O2 concentration. 2011 text Electronic Dissertation http://hdl.handle.net/10150/201511 en Copyright © is held by the author. Digital access to this material is made possible by the University Libraries, University of Arizona. Further transmission, reproduction or presentation (such as public display or performance) of protected items is prohibited except with permission of the author. The University of Arizona.
collection NDLTD
language en
sources NDLTD
topic Materials Science & Engineering
spellingShingle Materials Science & Engineering
Siddiqui, Shariq
Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations
description Ammonia-peroxide mixture (APM) is a widely used wet chemical system for particle removal from silicon surfaces. The conventional APM solution in a volume ratio of 1:1:5 (NH4OH:H2O2:H2O) is employed at elevated temperatures of 70-80 °C. At these temperatures, APM solution etches silicon at a rate of ~3 Å/min, which is unacceptable for current technology node. Additionally, APM solutions are unstable due to the decomposition of hydrogen peroxide and evaporative loss of ammonium hydroxide resulting in the change in APM solution composition. This has generated interest in the use of dilute APM solutions. However, dilution ratios are chosen without any established fundamental relationship between particle-wafer interactions and APM solutions.Atomic force microscopy has been used to measure interaction forces between H-terminated Si surface and Si tip in APM solutions of different compositions. The approach force curves results show attractive forces in DI-water, NH4OH:H2O (1:100) and H2O2:H2O (1:100) solutions at separation distances of less than 10 nm for all immersion times (2, 10 and 60 min) investigated. In the case of dilute APM solutions, the forces are purely repulsive within 2 min of immersion time. During retraction, the adhesion force between Si surface and Si tip was in the range of 0.8 nN to 10.0 nN. In dilute APM solutions, no adhesion force is measured between Si surfaces and repulsive forces dominated at all distances. These results show that even in very dilute APM solutions, repulsive forces exist between Si surface and particle re-deposition can be prevented.The stability of APM solutions has been investigated as a function of temperature (24 - 65 °C), dilution ratio (1:1:5 - 1:2:100), solution pH (8.0 - 9.7) and Fe2+ concentration (0 - 10 ppb) using an optical concentration monitor. The results show that the rate of H2O2 decomposition increased with an increase in temperature, solution pH and Fe2+ concentration. The kinetic analysis showed that the H2O2 decomposition follows a first order kinetics with respect to both H2O2 and OH- concentrations. In the presence of Fe2+, hydrogen peroxide decomposition follows a first order reaction kinetics with respect to H2O2 concentration.
author2 Raghavan, Srini
author_facet Raghavan, Srini
Siddiqui, Shariq
author Siddiqui, Shariq
author_sort Siddiqui, Shariq
title Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations
title_short Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations
title_full Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations
title_fullStr Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations
title_full_unstemmed Optimization of Ammonia-Peroxide Water Mixture (APM) for High Volume Manufacturing through Surface Chemical Investigations
title_sort optimization of ammonia-peroxide water mixture (apm) for high volume manufacturing through surface chemical investigations
publisher The University of Arizona.
publishDate 2011
url http://hdl.handle.net/10150/201511
work_keys_str_mv AT siddiquishariq optimizationofammoniaperoxidewatermixtureapmforhighvolumemanufacturingthroughsurfacechemicalinvestigations
_version_ 1718100205815988224