Layout Synthesis for Datapath Designs

As datapath chips such as microprocessors and digital signal processors become more complex, efficient CAD tools that preserve the regularity of datapath designs and result in small layout area are required. The standard-cell placement techniques ignore the regularity of datapath designs and hence g...

Full description

Bibliographic Details
Main Author: Buddi, Naveen
Format: Others
Published: PDXScholar 1996
Subjects:
Online Access:https://pdxscholar.library.pdx.edu/open_access_etds/5240
https://pdxscholar.library.pdx.edu/cgi/viewcontent.cgi?article=6312&context=open_access_etds