Scan architecture with mutually exclusive scan segment activation for shift and capture power reduction

Power dissipation during scan testing is becoming an important concern as design sizes and gate densities increase. While several approaches have been recently proposed for reducing power dissipation during the shift cycle (minimum transition don't care fill, special scan cells and scan chain p...

Full description

Bibliographic Details
Main Authors: Rosinger, Paul (Author), Al-Hashimi, Bashir (Author), Nicolici, Nicola (Author)
Format: Article
Language:English
Published: 2004-07.
Subjects:
Online Access:Get fulltext